Airline Safety and Safety Management Systems (SMS)

Airline Roles in Aviation Safety and Safety Management Systems (SMS)

Airlines and Safety: The Relationship

  • Identify and control safety problems.
  • Good safety is essential to a healthy company.
  • Effective safety programs can be directly linked to good airline management.

Historical Involvement of Airlines

  • Early airlines created safety committees.
  • Caused formation of the US government aviation accident investigation agency (now NTSB).
  • Traditionally approached safety as a normal product of good management.
  • Airlines
Read More

English Exercises: Verb Tenses and Comparisons

Section 1

  1. is boarding
  2. doesn’t work
  3. is she going
  4. doesn’t believe
  5. is having
  6. do you prefer
  7. are shopping
  8. arrives
  9. need
  10. do you think

Section 2

  1. been
  2. since
  3. left
  4. is downloading
  5. already
  6. ‘re sitting
  7. for
  8. ‘re picking
  9. just
  10. Have you heard

Section 3

  1. b) more expensive
  2. a) as fast
  3. c) less difficult
  4. c) the quickest
  5. b) better

Section 4

  1. more
  2. as
  3. the
  4. the (country)
  5. as

Section 1

  1. is boarding
  2. doesn’t work
  3. is she going
  4. doesn’t believe
  5. is having
  6. do you prefer
  7. are shopping
  8. arrives
  9. need
  10. do you think

Section 2

  1. been
  2. since
  3. left
  4. is downloading
  5. already
  6. ‘re sitting
  7. for
  8. ‘re picking
  9. just
  10. Have
Read More

VHDL Code Examples: Unit Control HLSM and Button Press FSM

Unit Control HLSM

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.NUMERIC_STD.ALL;

entity UnitControl_HLSM is

   port (clk, rst : in std_logic;

         c : in std_logic;

         A : in unsigned(7 downto 0);

         z : out std_logic);

end UnitControl_HLSM;

architecture Behavior of UnitControl_HLSM is

begin

   process(clk)

      type UC_statetype is (UC_Init, UC_GetInput, UC_UnitOn);

      variable UC_State : UC_statetype;   

      variable V : unsigned(7 downto 0);   

   begin

 

Read More

Architectural Groups: Design Philosophies and Approaches

Group 1: Sensory and Experiential Design

  • Zaha Hadid: “Sensory Explorers” – A group dedicated to creating immersive and unconventional architectural experiences, exploring the intersection of nature, emotion, and quality of life.
  • Herzog and de Meuron: “Nature-inspired Sensations” – A group focused on integrating artistic approaches and colors into architecture, creating unique sensory experiences that draw inspiration from nature and context.
  • SANAA: “Transparent Parks” – A group committed to creating
Read More

R Programming Fundamentals and Statistical Analysis

R Basics

Help and Function Documentation

?lm
help(lm)
help.start()

help.search("lm")
RSiteSearch("regression")
example(lm)

Installing Packages in R

install.packages('sos')

Loading Packages

library(sos)

z <- findFn({'Control Charts'})
z
findFn('Control Charts')

Visualization of Historical Commands

history()

Important Data Types

a <- c(1,2,3)
b <- c(4,6,8)
cbind(a,b)
rbind(a,b)

Mean Calculation (1)

omean <- function(v) {
m <- mean(v)
ifelse(is.na(m), 0, m)
}

Mean Calculation (2)

omean

Read More

VHDL Examples: Muxes, Decoders, Comparators

VHDL Code for a 2-to-1 Mux

LIBRARY ieee;
USE ieee.std_logic_1164.all;

ENTITY mux2to1 IS
    PORT (
        w0, w1, s : IN STD_LOGIC;
        f : OUT STD_LOGIC
    );
END mux2to1;

ARCHITECTURE Behavior OF mux2to1 IS
BEGIN
    WITH s SELECT
    f <= w0 WHEN '0',
         w1 WHEN OTHERS;
END Behavior;

VHDL Using Conditional Signal Assignments

LIBRARY ieee;
USE ieee.std_logic_1164.all;

ENTITY mux2to1 IS
    PORT (
        w0, w1, s : IN STD_LOGIC;
        f : OUT STD_LOGIC
    );
END mux2to1;
Read More